Trung tâm đào tạo thiết kế vi mạch Semicon


  • ĐĂNG KÝ TÀI KHOẢN ĐỂ TRUY CẬP NHIỀU TÀI LIỆU HƠN!
  • Đăng ký
    *
    *
    *
    *
    *
    Fields marked with an asterisk (*) are required.
semicon_lab.jpg

Thế giới ASIC

Thế nào là ASIC?

 

1. ASIC là gì?

ASIC (phát âm: ay-sik), viết tắt của application-specific integrated circuit trong tiếng Anh, là một thuật ngữ chỉ các vi mạch tích hợp chuyên dụng trong điện tử học.

 

Lần cập nhật cuối ( Thứ năm, 28 Tháng 3 2019 18:19 ) Đọc thêm...
 

Altium Designer 14.3.13 - Phần mềm thiết kế mạch chuyên nghiệp

[​IMG]        Altium Designer là một giải pháp phần mềm thiết kế vi mạch được cung cấp cho các nhà thiết kế với các công cụ mà họ cần để giải quyết vấn đề kỹ thuật và tạo ra các thiết bị điện tử.
Đọc thêm...
 

Hướng dẫn Sử dụng phần mềm Quartus II với kit DE1


pglK.jpeg
   I) Giới thiệu
- Quartus II là phần mềm được phát triển bởi hãng Altera(một công ty chuyên cung cấp các thiết bị điện tử hàng đầu thế giới).Hãng Altera đều có bản miễn phí phục vụ cho student và bản thu phí, với sự support tốt từ nhà cung cấp, nên các bạn xài thì không phải lo về vấn đề bản

Lần cập nhật cuối ( Thứ năm, 11 Tháng 6 2015 15:37 ) Đọc thêm...
 

mô hình luồng dữ liệu trong verilog.

1, Giới thiệu:
verilog-hdl-28-638.jpg
- Đối với các thiết kế nhỏ, các phương pháp mô hình gate-level (mức cổng) làm việc rất tốt vì số lượng các cổng là hạn chế. Chúng ta có thể thiết kế nhanh chóng và kết nối mỗi cổng riêng biệt lại với nhau dễ dàng. Ngoài ra, mô hình gate-level là rất trực quan để giúp chúng ta có một kiến thức cơ bản của thiết kế logic số. Tuy nhiên, trong các thiết kế phức tạp thì số lượng
Lần cập nhật cuối ( Thứ năm, 11 Tháng 6 2015 14:47 ) Đọc thêm...
 

Cơ bản về hệ thông nhúng

Examlpes.gifGiới thiệu

Về cơ bản, hệ thống nhúng là sự kết hợp của phần cứng máy tính và phần mềm, là một thiết bị thực hiện một chức năng xác định trên cơ sở lặp đi lặp lại. Chúng được sử dụng trong xe ô tô, ví dụ như trong các chức năng của phanh vv Ngay cả điện thoại di động thực hiện nhiều nhiệm vụ phức tạp cũng có chứa các thiết bị này. Bên cạnh đó, các ứng dụng khác như là kiểm soát air-traffic, máy nghe nhạc MP3, đồng hồ kỹ thuật số, điện thoại di động, máy bay, xe lửa …..

Đọc thêm...
 

Chu trình thiết kế vi mạch số


[​IMG]1/ Chu trình cơ bản
Qui trình thiết kế mạch số của FPGA hay ASIC thì giống y hệt nhau (cứ search FPGA Design Flow hay ASIC Design Flow là ra). Ví dụ như hình dưới (Nguồn: Please login or register to view links

Đọc thêm...
 

Hương dẫn Thiết kế số trên FPGA .

 Chia làm 2 phương pháp:

+ dùng schematic ( biểu đồ)

+ dùng ngôn ngữ mô tả phần cứng(Hardware Description Language)


A,Schematic design entry
- Với Schematic design, bạn sẽ phác họa bản thiết kế của mình bằng các gates và wire nằm trong một khối (block) trên máy tính của mình. Bản thiết kế có thể có nhiều khối và trong khối có nhiều cổng được nối bằng các dây.
- Một thiết kế FPGA nên có một bản schematic vì nó dễ đọc và người xem có thể hiểu được. Nhưng đối với một thiết kế lớn thì sẽ không dùng vì khá phức tạp khi dùng khá nhiều cổng và wire . Nó sẽ làm bản thiết kế chúng ta rắc rối hơn. thay vì thiết kế bằng Schematic chũng ta sẽ sử dụng ngôn ngữ mô tả phần cứng để thay thế.

B,HDL design entry: 
- 
Thiết kế FPGA bằng ngôn ngữ phần cứng thông dụng với nhiều người hơn. Thông thường chúng ta sẽ sử dụng ngôn ngữ VHDL and Verilog để thiết kế.
C,Làm thế nào để chọn VHDL hay Verilog.
Ok, sau đây chúng ta sẽ quan sát cổng AND và OR được thực hiện bằng ngôn ngữ khác nhau:

[​IMG]
CODE bằng ngôn ngữ HDL như sau:
HU.png

D-FF
[​IMG]

hu2.png

- D-FF trên được thực hiện bằng behavior. Có nhiều loại thiết kế FPGA bằng ngôn ngữ mô tả phần cứng ở đây là VHDL và Verilog( mình sẽ giới thiệu sau). Behavior được nhiều người sử dụng vì nó dễ và khá gọn.

D,VHDL or Verilog?
- VHDL hay Verilog đều là ngôn ngữ mô tả phần cuwngz thông dụng nhất hiện nay.Bạn có thể chọn một trong hai để bắt đầu thiết kế FPGA. Với Verilog nó khá dễ cho những người mới mới bắt đầu làm quen với FPGA( nó khá giống ngôn ngữ C hiện nay). Còn VHDL có khó hơn chút vì có nhiều quy ước hơn, nhưng chặt chẽ hơn so với Verilog.

E,FPGA simulation
- Khi thiết kế hoàn thành (dùng …) bạn nên chạy mô phỏng trên máy bằng phần mềm trước khi đưa xuống FPGA. Nó sẽ giúp bạn kiểm tra các lỗi và chương trình có thực hiện đúng như yêu cầu bằng giản đồ sóng vuông nhưng trước hết khi bắt đầu simulation thì phải khai báo giá trị ban đầu.
Testbench là một non-synthesizable HDL design để tạo simulation cho thiết kế của bạn.
- Ví dụ về simulation dùng testbench:

module gates(a, b, q, r);
input a, b;
output q, r;

assign q = a & b; // one AND gate
assign r = a | b; // one OR gate
endmodule
- Một testbench hoàn chỉnh:

MÃ:
module testbench_for_gates;  // we create some stimulus by toggling the signals "a" and "b" every 50 time-units reg a, b; initial begin   a = 0; b = 0;   #50 a = 1;   #50 a = 0; b = 1;   #50 a = 1;   #50 $finish; end  // and we apply the stimulus to "gates". In response, "gates" drives "q" and "r" signals wire q, r; gates my_gates(a, b, q, r);  // and we can verify that "q" and "r" have the right values always @(a or b) if(q != (a & b)) $display("ERROR in signal q"); always @(a or b) if(r != (a | b)) $display("ERROR in signal r"); endmodule
Phần mềm thực hiện là Please login or register to view links and Please login or register to view links
Lần cập nhật cuối ( Thứ tư, 10 Tháng 6 2015 14:04 )
 

Hướng dẫn Thiết kế bộ RAM dùng verilog

[​IMG]1.Khái niệm
¤RAM viết tắt của cụm từ Random Access Memory, bộ nhớ truy cập ngẫu nhiên. ¤Thời gian đọc và ghi với các ô nhớ là như nhau, dù đang ở bất kỳ vị trí nào trên bộ nhớ, mỗi ô nhớ của RAM đều có một địa chỉ. ¤Thông thường mỗi ô nhớ là một Byte. ¤RAM có cơ chế đọc ghi dữ liệu hoàn toàn khác với băng, đĩa có cơ chế đọc ghi tuần tự. ¤RAM khác với ROM là bộ nhớ chỉ đọc.
Đọc thêm...
 

Hướng dẫn Thiết kế bộ nhớ đệm FIFO dùng verilog

[​IMG]1, Giới thiệu
-- FIFO (First-In-First-Out) là một khối nhớ đệm đặc biệt, rất hay ứng dụng trong các hệ thống truyền dẫn số, dùng làm các khối đệm trong các thiết bị lưu trữ… Như tên gọi của nó thì dữ liệu nào ghi vào trước thì được đọc ra trước. Đối với FIFO không còn khái niệm địa chỉ mà chỉ còn các cổng điểu khiển đọc và ghi dữ liệu. Khi được cho phép ghi, dữ liệu bên ngoài sẽ ghi vào bộ nhớ đệm.Khi có tín hiệu cho phép đọc, dữ liệu sẽ được đọc từ bộ nhớ đệm ra ngoài theo thứ tự đã ghi. Tùy theo yêu cầu cụ thể mà FIFO có thể được thiết kế bằng các cách khác nhau. Sơ đồ đơn giản và tổng quát nhất của FIFO là sơ đồ sử dụng khối RAM đồng bộ hai cổng đọc ghi độc lập.

Đọc thêm...
 

Hướng dẫn lắp mạch khuếch đại âm thanh đơn giản với ic TDA2003 l

ảnh thực tế ic2003
Nhiều bạn hỏi cách lắp mạch âm ly (tăng âm) nào đơn giản nhất??? Tôi đã thử nhiều mạch như tda2030, tda2025, mạch dùng sò công suất .... nhưng tất cả đều khá phức tạp .
Đọc thêm...
 

10 tính năng kỳ quái trong ngôn ngữ lập trình

Các ngôn ngữ lập trình chất chứa trong chúng nhiều điều đặc biệt, nhưng những điều dưới đây có thể khiến những nhà lập trình kỳ cựu cũng phải ngạc nhiên.
Đọc thêm...
 

Mẹo đo kiểm tra và xác định hư hỏng của chip, ic hoặc các loại vi xử lý

Tổng quát về ic, chip vi xử lý.

Ic là 1 tổ hợp một mạch điện bao gồm các linh kiện điện tử tích hợp lại với 1 hình dáng nhỏ gọn nhất tùy theo mục đích ứng dụng chức năng của nó.

Lần cập nhật cuối ( Thứ hai, 08 Tháng 6 2015 15:52 ) Đọc thêm...
 

Hướng dẫn làm mạch điều khiển từ xa đơn giản cùng PT2248

Mach điều khiển từ xa 2248I,Tổng quan qua về hệ thống thu phát hồng ngoại. a) Khái niệm tia hồng ngoại. Ánh sáng hồng ngoại (tia hồng ngoại) là ánh sáng không thể nhìn thấy được bằng mắt thường , có bước sóng khoảng từ 0.86μm đến

Đọc thêm...
 

Tìm hiểu về AVR ?

I. Giới thiệu

      AVR là một họ vi điều khiển do hãng Atmel sản xuất (Atmel cũng là nhà sản xuất dòng vi điều khiển 89C51 mà có thể bạn đã từng nghe đến). AVR là chip vi điều khiển 8 bits với cấu trúc tập lệnh đơn giản hóa-RISC(Reduced Instruction Set Computer), một kiểu cấu trúc đang thể hiện ưu thế trong các bộ xử lí.  

      Tại sao AVR: so với các chip vi điều khiển 8 bits khác, AVR có nhiều đặc tính hơn hẳn, hơn cả trong tính ứng dụng (dễ sử dụng) và đặc biệt là về chức năng:

  • Gần như chúng ta không cần mắc thêm bất kỳ linh kiện phụ nào khi sử dụng AVR, thậm chí không cần nguồn tạo xung clock cho chip (thường là các khối thạch anh).
    Lần cập nhật cuối ( Thứ sáu, 17 Tháng 7 2015 20:08 ) Đọc thêm...
     

    Khái quát quy trình sản xuất chip thông dụng

    Các con Chip hiện nay đang tồn tại ở trong hầu hết các vật dụng điện tử, nhận thấy vai trò đóng góp to lớn của Chip do đó trên thế giới ngành công nghiệp để sản xuất ra những con chip hay những vi mạch tich hợp này hiện đang là một trong những lĩnh vực mới mẻ và hứa hẹn nhiều tiềm năng. Vậy quy trình và những đòi hỏi về mặt kĩ thuật cũng như giá thành để sản xuất một con Chip như thế nào?

    Lần cập nhật cuối ( Thứ năm, 21 Tháng 5 2015 21:23 ) Đọc thêm...
     

    Tìm hiểu về IGBT là gì

    IGBT (Insulated Gate Bipolar Transistor): Transistor có cực điều khiển cách ly là một linh kiện bán dẫn công suất 3 cực được phát minh bởi Hans W. Beck và Carl F. Wheatley vào năm 1982. IGBT kết hợp khả năng đóng cắt nhanh của MOSFET và khả năng chịu tải lớn của transistor thường. Mặt khác IGBT cũng là phần tử điều khiển bằng điện áp, do đó công suất điều khiển yêu cầu sẽ cực nhỏ.

    Lần cập nhật cuối ( Thứ bảy, 20 Tháng 4 2019 19:48 ) Đọc thêm...
     
    Trang 109 của 119

    Latest IC Design Articles

    Related Articles

    Most Read IC Design Articles

    Chat Zalo