Trung tâm đào tạo thiết kế vi mạch Semicon


  • ĐĂNG KÝ TÀI KHOẢN ĐỂ TRUY CẬP NHIỀU TÀI LIỆU HƠN!
  • Đăng ký
    *
    *
    *
    *
    *
    Fields marked with an asterisk (*) are required.
semicon_lab.jpg

Thế giới ASIC

ALU Design

Hình ảnh

Mục tiêu đầu tiên là thiết kế ALU 32-bit có thực hiện phép toán AND, OR và cộng. Đầu tiên để hiểu rõ được những điều dưới đây bạn cần hiểu ALU là gì ?

 

Lần cập nhật cuối ( Thứ năm, 18 Tháng 10 2018 19:20 ) Đọc thêm...
 

Lập trình I/O trên thẻ nhớ

Hình ảnhHiện nay, hệ thống file FAT (DOS) được lấy làm chuẩn định dạng cho thẻ nhớ flash. Bạn có thể dùng một con vi điều khiển để đọc và ghi file trên một thẻ nhớ SD hay MMC với hệ thống FAT.
Đọc thêm...
 

Thiết Kế Verilog cho UART Model!

Kết quả hình ảnh cho hinh anh verilogA universal asynchronous receiver/transmitter (usually abbreviated UART and pronounced /ˈjuːɑrt/) is a type of "asynchronous receiver/transmitter", a
Đọc thêm...
 

Tìm hiểu về vi diều khiển?

Kết quả hình ảnh cho hinh anh vi dieu khien]Vi điều khiển là một máy tính được tích hợp trên một chíp, nó thường được sử dụng để điều khiển các thiết bị điện tử. Vi điều khiển, thực chất, là một
Đọc thêm...
 

Verilog căn bản: bài 11: Finite State Machine - Thiết kế máy trạng thái

[​IMG]1. Giới thiệu.
- Về cơ bản một FSM bao gồm các mạch tổ hợp, tuần tự và đầu ra tổ hợp. Mạch tổ hợp được sử dụng để quyết định các trạng thái tiếp theo của FSM, mạch tuần tự được sử dụng để lưu trữ các trạng thái hiện tại của FSM. Mạch tổ hợp đầu ra: xác định tín hiệu ra của máy trạng thái phụ thuộc vào trạng thái hiện tại và tín hiệu đầu vào.
Lần cập nhật cuối ( Thứ tư, 17 Tháng 6 2015 19:42 ) Đọc thêm...
 

Thiết Kế Verilog cho Verilog - Bộ nhớ định địa chỉ và dữ liệu

Kết quả hình ảnh cho hinh anh verilogContent-addressable memory (CAM) is a special typeof computer memory used in certain very high speed searching applications.

Đọc thêm...
 

Verilog căn bản: Bài 9: Cấu trúc always và initial

Kết quả hình ảnh cho hinh anh verilog1, Giới thiệu 
Ở các bài trước nhiều lần mình có nhắc khối always, initial. Vậy khối always là gì, cấu trúc và cú pháp như thế nào sẽ đực giới thiệu ở bài này. Như một bài toán ta luôn có một khối chính cho cả chương
Đọc thêm...
 

Verilog căn bản: Bài 8: Khối thủ tục begin-end và fork-join

[​IMG]1,Giới thiệu.
- Hai khối begin-end and fork-join được sử dụng để kết hợp một nhóm các câu lệnh thành một khối duy nhất.Có hai khối thủ tục cơ bản sau:
-- Khối tuần tự, hay còn được gọi là khối begin-end
Đọc thêm...
 

Thiết Kế Verilog về PARITY

Kết quả hình ảnh cho hinh anh verilogMột bit chẵn lẻ là một bit mà được thêm vào để đảm bảo rằng số lượng bit có giá trị nhất trong một bộ các bit là ngay cả hoặc lẻ . Parity bits are used as the simplest form of error detecting code . bit chẵn lẻ được sử dụng như là hình thức đơn giản nhất của lỗi phát hiện mã .
Đọc thêm...
 

Tìm hiểu RISC là gì?

RISC (viết tắt của Reduced Instructions Set Computer - Máy tính với tập lệnh đơn giản hóa) là một phương pháp thiết kế các bộ vi xử lý (VXL) theo hướng đơn giản hóa tập lệnh, trong đó thời gian thực thi tất cả các lênh đều như nhau. Hiện nay các bộ vi xử lý RISC phổ biến là ARM, SuperH, MIPS, SPARC, DEC Alpha, PA-RISC, PIC, và PowerPC...

Lần cập nhật cuối ( Thứ hai, 01 Tháng 4 2019 20:07 ) Đọc thêm...
 

Verilog căn bản: Bài 7: Các loại phép gán trong verilog

[​IMG]

*Trong Verilog có hai loại phép gán cơ bản đó là: phép gán liên tục và phép gán thủ tục.Hôm nay mình sẽ giới thiệu phép gán liên tục trước bài sau mình sẽ nói về phép gán thủ tục.

Lần cập nhật cuối ( Thứ bảy, 13 Tháng 6 2015 22:03 ) Đọc thêm...
 

Verilog căn bản: bài 5: Cấu trúc module

[​IMG]1, Giới thiệu
-- Khác với các ngôn ngữ lập trình C,C++… Verilog được cấu trúc từ các module giống như một chương trình chính (chương trình main) trong C,C++….một module tương đương với 1 block (1 khối chức năng) trong thiết kế. Giống như C, từ hàm main ta có thể tạo ra nhiều hàm con khác thì Verilog cũng vậy, một module chính (top module) có thể được tạo từ các module con khác.
Đọc thêm...
 

Verilog căn bản: bài 3: Quy ước về từ khóa

Kết quả hình ảnh cho hinh anh ngon ngu verilog1, Khoảng trắng
-- Khoảng trắng trong Verilog là những kí tự đặc biệt gồm có: kí tự dấu cách (Space), kí tự tab (Tab) và kí tự xuống dòng (Enter). Những kí tự này sẽ được bỏ qua khi bạn lập trình. Tuy nhiên, kí tự khoảng trắng và kí tự tab trong xâu không bị bỏ qua.
Đọc thêm...
 

Verilog căn bản: Bài 2: Toán tử trong Verilog

Kết quả hình ảnh cho hinh anh ngon ngu verilogI,Giới thiệu
--Toán tử trong Verilog không khác trong C là mấy. Nên nếu không hiểu phần nào các bạn có thể xem phần giải thích toán tử trong C để hiểu rõ hơn.

Lần cập nhật cuối ( Thứ sáu, 12 Tháng 6 2015 14:30 ) Đọc thêm...
 

Verilog căn bản: Bài 1: Kiểu dữ liệu trong Verilog

Kết quả hình ảnh cho hinh anh ngon ngu verilogI, Giới thiệu
- Verilog chỉ hỗ trợ những loại dữ liệu đã được định nghĩa trước. Những loại dữ liệu này bao gồm dữ liệu bit, mảng bit, vùng nhớ, số nguyên, số thực, sự kiện, và độ mạnh của dữ liệu. Những loại này định nghĩa trong phần lớn mô tả của Verilog.

Lần cập nhật cuối ( Thứ sáu, 12 Tháng 6 2015 14:00 ) Đọc thêm...
 

Hệ thống nhúng là gì?

[​IMG]


1. Khái niệm về hệ thống nhúng
Hệ thống nhúng là một hệ thống được tích hợp cả phần cứng và phần mềm phục vụ cho các bài toán chuyên dụng trong nhiều lĩnh vực công nghiệp, tự động hóa điều khiển, quan trắc và truyền thông.
Đọc thêm...
 
Trang 108 của 119

Latest IC Design Articles

Related Articles

Most Read IC Design Articles

Chat Zalo